! | Clash.Class.BitPack.BitIndex, Clash.Prelude.BitIndex, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
!! | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
#|# | Clash.Verification.DSL |
$ | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
$! | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
&& | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
* | |
1 (Type/Class) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
*# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
** | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
*> | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
+ | |
1 (Type/Class) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
+# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
++ | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
++# | Clash.Sized.Internal.BitVector, Clash.Sized.BitVector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
+>> | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
- | |
1 (Function) | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Type/Class) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
-# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
. | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
.&&. | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
.&. | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
./=. | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
.<. | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
.<=. | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
.==. | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
.>. | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
.>=. | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
.|. | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
.||. | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
/ | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
/= | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
:$$$: | Clash.Class.HasDomain.Common |
:$$: | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
:++: | Clash.Class.HasDomain.Common |
:- | Clash.Signal.Internal |
::: | Clash.NamedTypes, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
:< | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
:<<>>: | Clash.Class.HasDomain.Common |
:<>: | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
:> | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
< | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
<$ | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
<$> | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
<* | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
<**> | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
<*> | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
<<+ | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
<= | |
1 (Type/Class) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
<=? | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
<> | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
<^> | Clash.Prelude.Mealy, Clash.Prelude.Safe, Clash.Prelude |
<|> | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
=<< | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
== | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
> | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
>= | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
>> | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
>>= | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
abs | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
abs# | Clash.Sized.Internal.Signed |
acos | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
acosh | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ActiveEdge | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
activeEdge | Clash.Signal.Internal.Ambiguous, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ActiveHigh | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ActiveLow | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
add | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
addBNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
addMod | Clash.Sized.Internal.Mod |
addSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
addUNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
all | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
altddioIn | Clash.Intel.DDR |
altddioOut | Clash.Intel.DDR |
alteraPll | Clash.Intel.ClockGen |
Alternative | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
altpll | Clash.Intel.ClockGen |
always | Clash.Explicit.Verification, Clash.Verification |
Ambiguous | Clash.Class.HasDomain.HasSingleDomain, Clash.Class.HasDomain |
AmbiguousError | Clash.Class.HasDomain.HasSingleDomain |
and | |
1 (Function) | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.Explicit.Verification, Clash.Verification |
and# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
and## | Clash.Sized.Internal.BitVector |
andEnable | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
Annotate | Clash.Annotations.SynthesisAttributes |
antiDelay | Clash.Signal.Delayed.Internal, Clash.Explicit.Signal.Delayed, Clash.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
any | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
appendFile | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
AppendSymbol | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Applicative | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
appSignal# | Clash.Signal.Internal |
AppTy' | Clash.Annotations.BitRepresentation.Internal |
AResult | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Explicit.Prelude, Clash.Prelude, Clash.Prelude |
asin | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
asinh | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
asIntProxy | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
asNatProxy | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
asRepProxy | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
assert | |
1 (Function) | Clash.Explicit.Verification, Clash.Verification |
2 (Function) | Clash.Explicit.Testbench, Clash.Explicit.Prelude |
3 (Function) | Clash.Prelude.Testbench |
assertBitVector | |
1 (Function) | Clash.Explicit.Testbench |
2 (Function) | Clash.Prelude.Testbench |
Assertion | |
1 (Type/Class) | Clash.Verification.Internal, Clash.Explicit.Verification, Clash.Verification |
2 (Data Constructor) | Clash.Verification.Internal |
assertion | Clash.Verification.Internal |
Assertion' | Clash.Verification.Internal |
AssertionResult | |
1 (Type/Class) | Clash.Verification.Internal |
2 (Data Constructor) | Clash.Verification.Internal |
AssertionValue | Clash.Verification.Internal, Clash.Explicit.Verification |
assume | Clash.Explicit.Verification |
asTypeOf | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
asyncFIFOSynchronizer | Clash.Explicit.Synchronizer, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
Asynchronous | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
asyncRam | |
1 (Function) | Clash.Explicit.RAM, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.RAM, Clash.Prelude.Safe, Clash.Prelude |
asyncRam# | Clash.Explicit.RAM |
asyncRamPow2 | |
1 (Function) | Clash.Explicit.RAM, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.RAM, Clash.Prelude.Safe, Clash.Prelude |
asyncRegister# | Clash.Signal.Internal |
asyncRom | Clash.Prelude.ROM, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
asyncRom# | Clash.Prelude.ROM |
asyncRomBlob | Clash.Prelude.ROM.Blob, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
asyncRomBlob# | Clash.Prelude.ROM.Blob |
asyncRomBlobPow2 | Clash.Prelude.ROM.Blob, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
asyncRomFile | Clash.Prelude.ROM.File, Clash.Explicit.Prelude, Clash.Prelude |
asyncRomFile# | Clash.Prelude.ROM.File |
asyncRomFilePow2 | Clash.Prelude.ROM.File, Clash.Explicit.Prelude, Clash.Prelude |
asyncRomPow2 | Clash.Prelude.ROM, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
at | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
atan | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
atan2 | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
atanh | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Attr | |
1 (Type/Class) | Clash.Annotations.SynthesisAttributes |
2 (Data Constructor) | Clash.Annotations.SynthesisAttributes |
AutoReg | Clash.Class.AutoReg.Internal, Clash.Class.AutoReg, Clash.Explicit.Prelude, Clash.Prelude |
autoReg | |
1 (Function) | Clash.Class.AutoReg.Internal, Clash.Class.AutoReg, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude |
AutoRenderAs | Clash.Verification.Internal, Clash.Explicit.Verification, Clash.Verification |
B0 | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
B1 | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
backpermute | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
before | Clash.Explicit.Verification, Clash.Verification |
bigNatToNat | Clash.Sized.Internal.Mod |
Binary | Clash.Annotations.BitRepresentation.Deriving |
BiSignalDefault | Clash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
BiSignalIn | Clash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
BiSignalOut | Clash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Bit | |
1 (Type/Class) | Clash.Annotations.BitRepresentation.Util |
2 (Type/Class) | Clash.Sized.Internal.BitVector, Clash.Sized.BitVector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
3 (Data Constructor) | Clash.Sized.Internal.BitVector |
bit | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
biTbClockGen | Clash.Explicit.Testbench |
bitCoerce | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
bitCoerceMap | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
bitDefault | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
BitMask | Clash.Annotations.BitRepresentation |
BitOrigin | Clash.Annotations.BitRepresentation.Util |
bitOrigins | Clash.Annotations.BitRepresentation.Util |
bitOrigins' | Clash.Annotations.BitRepresentation.Util |
BitPack | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
bitPattern | Clash.Sized.Internal.BitVector, Clash.Sized.BitVector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
bitRanges | Clash.Annotations.BitRepresentation.Util |
Bits | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
BitSize | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Explicit.Prelude, Clash.Prelude, Clash.Prelude |
bitSize | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
bitSizeMaybe | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
bitToBool | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
BitVector | Clash.Sized.Internal.BitVector, Clash.Sized.BitVector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
bLit | Clash.Sized.Internal.BitVector, Clash.Sized.BitVector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
blockRam | |
1 (Function) | Clash.Explicit.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.BlockRam, Clash.Prelude.Safe, Clash.Prelude |
blockRam# | Clash.Explicit.BlockRam |
blockRam1 | |
1 (Function) | Clash.Explicit.BlockRam, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.BlockRam, Clash.Prelude |
blockRam1# | Clash.Explicit.BlockRam |
blockRamBlob | |
1 (Function) | Clash.Explicit.BlockRam.Blob, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.BlockRam.Blob, Clash.Prelude.Safe, Clash.Prelude |
blockRamBlob# | Clash.Explicit.BlockRam.Blob |
blockRamBlobPow2 | |
1 (Function) | Clash.Explicit.BlockRam.Blob, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.BlockRam.Blob, Clash.Prelude.Safe, Clash.Prelude |
blockRamFile | |
1 (Function) | Clash.Explicit.BlockRam.File, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.BlockRam.File, Clash.Prelude |
blockRamFile# | Clash.Explicit.BlockRam.File |
blockRamFilePow2 | |
1 (Function) | Clash.Explicit.BlockRam.File, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.BlockRam.File, Clash.Prelude |
blockRamPow2 | |
1 (Function) | Clash.Explicit.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.BlockRam, Clash.Prelude.Safe, Clash.Prelude |
blockRamU | |
1 (Function) | Clash.Explicit.BlockRam, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.BlockRam, Clash.Prelude |
blockRamU# | Clash.Explicit.BlockRam |
blueSpecDerivator | Clash.Annotations.BitRepresentation.Deriving |
BNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Bool | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
BoolAttr | Clash.Annotations.SynthesisAttributes |
boolToBit | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
boolToBV | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Bounded | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
boundedAdd | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
boundedMul | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
boundedSub | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
BR | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
break | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
brokenInvariant | Clash.Sized.Internal.Mod |
BT | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
buildCustomReprs | Clash.Annotations.BitRepresentation.Internal |
buildTopEntity | Clash.Annotations.TH |
Bundle | |
1 (Type/Class) | Clash.Signal.Bundle, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Type/Class) | Clash.Signal.Delayed.Bundle |
bundle | |
1 (Function) | Clash.Signal.Bundle, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.Signal.Delayed.Bundle |
BV | Clash.Sized.Internal.BitVector |
bv2i | Clash.Sized.Index, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
bv2v | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ceiling | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Changed | Clash.Signal.Trace |
Char | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
check | |
1 (Function) | Clash.Explicit.Verification |
2 (Function) | Clash.Verification |
checkedFromIntegral | Clash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
checkedResize | Clash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
checkedTruncateB | Clash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
checkI | |
1 (Function) | Clash.Explicit.Verification |
2 (Function) | Clash.Verification |
checkUnpackUndef | Clash.Sized.Internal.BitVector |
clearBit | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ClearOnReset | Clash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude, Clash.Prelude |
clearOverflow | Clash.Num.Overflowing |
Clock | |
1 (Type/Class) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Signal.Internal |
clockGen | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
clockPeriod | Clash.Signal.Internal.Ambiguous, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Clocks | Clash.Clocks |
clocks | Clash.Clocks |
ClocksCxt | Clash.Clocks |
clockTag | Clash.Signal.Internal |
clockWizard | Clash.Xilinx.ClockGen |
clockWizardDifferential | Clash.Xilinx.ClockGen |
CLog | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
clogBaseSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
closeFile | Clash.Explicit.SimIO |
CmpNat | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
CmpSymbol | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
compare | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
compareSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
complement | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
complement# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
complement## | Clash.Sized.Internal.BitVector |
complementBit | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
complementMod | Clash.Sized.Internal.Mod |
concat | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
concatBitVector# | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
concatMap | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Cons | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Const | |
1 (Data Constructor) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Type/Class) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
const | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Constraint | Clash.Prelude |
ConstrRepr | |
1 (Type/Class) | Clash.Annotations.BitRepresentation |
2 (Data Constructor) | Clash.Annotations.BitRepresentation |
ConstrRepr' | |
1 (Type/Class) | Clash.Annotations.BitRepresentation.Internal |
2 (Data Constructor) | Clash.Annotations.BitRepresentation.Internal |
constrReprToConstrRepr' | Clash.Annotations.BitRepresentation.Internal |
ConstructorType | Clash.Annotations.BitRepresentation.Deriving |
ConstTy' | Clash.Annotations.BitRepresentation.Internal |
convertReset | Clash.Explicit.Reset, Clash.Explicit.Prelude |
cos | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
cosh | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Counter | Clash.Class.Counter.Internal, Clash.Class.Counter |
counterName | Clash.Class.Counter.TH |
countLeadingZeros | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
countLeadingZerosBV | Clash.Sized.Internal.BitVector |
countMax | Clash.Class.Counter.Internal |
countMaxName | Clash.Class.Counter.TH |
countMin | Clash.Class.Counter.Internal |
countMinName | Clash.Class.Counter.TH |
countPred | Clash.Class.Counter |
countPredName | Clash.Class.Counter.TH |
countPredOverflow | Clash.Class.Counter.Internal |
countSucc | Clash.Class.Counter |
countSuccName | Clash.Class.Counter.TH |
countSuccOverflow | Clash.Class.Counter.Internal |
countTrailingZeros | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
countTrailingZerosBV | Clash.Sized.Internal.BitVector |
cover | Clash.Explicit.Verification, Clash.Verification |
crc | Clash.Examples.Internal |
crcT | Clash.Examples.Internal |
createDomain | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
createMemBlob | Clash.Explicit.BlockRam.Blob, Clash.Explicit.ROM.Blob, Clash.Prelude.ROM.Blob, Clash.Prelude.BlockRam.Blob, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
crFieldAnns | Clash.Annotations.BitRepresentation.Internal |
crMask | Clash.Annotations.BitRepresentation.Internal |
crName | Clash.Annotations.BitRepresentation.Internal |
crPosition | Clash.Annotations.BitRepresentation.Internal |
crValue | Clash.Annotations.BitRepresentation.Internal |
curry | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
CustomReprs | Clash.Annotations.BitRepresentation.Internal |
CvAlways | Clash.Verification.Internal |
CvAnd | Clash.Verification.Internal |
CvAssert | Clash.Verification.Internal |
CvAssume | Clash.Verification.Internal |
CvBefore | Clash.Verification.Internal |
CvCover | Clash.Verification.Internal |
CvEventually | Clash.Verification.Internal |
CvImplies | Clash.Verification.Internal |
CvLit | Clash.Verification.Internal |
CvNever | Clash.Verification.Internal |
CvNext | Clash.Verification.Internal |
CvNot | Clash.Verification.Internal |
CvOr | Clash.Verification.Internal |
cvPass | Clash.Verification.Internal |
cvPropName | Clash.Verification.Internal |
CvPure | Clash.Verification.Internal |
CvTemporalImplies | Clash.Verification.Internal |
CvToTemporal | Clash.Verification.Internal |
cycle | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
d0 | Clash.Promoted.Nat.Literals, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
d1 | Clash.Promoted.Nat.Literals, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
d2 | Clash.Promoted.Nat.Literals, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
d3 | Clash.Promoted.Nat.Literals, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
d4 | Clash.Promoted.Nat.Literals, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
d5 | Clash.Promoted.Nat.Literals, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
d6 | Clash.Promoted.Nat.Literals, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
d7 | Clash.Promoted.Nat.Literals, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
d8 | Clash.Promoted.Nat.Literals, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
d9 | Clash.Promoted.Nat.Literals, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DataFlow | Clash.Prelude.DataFlow |
DataRepr' | |
1 (Type/Class) | Clash.Annotations.BitRepresentation.Internal |
2 (Data Constructor) | Clash.Annotations.BitRepresentation.Internal |
DataReprAnn | |
1 (Type/Class) | Clash.Annotations.BitRepresentation |
2 (Data Constructor) | Clash.Annotations.BitRepresentation |
DataReprAnnExp | Clash.Annotations.BitRepresentation.Deriving |
dataReprAnnToDataRepr' | Clash.Annotations.BitRepresentation.Internal |
ddrIn | Clash.Explicit.DDR |
ddrIn# | Clash.Explicit.DDR |
ddrOut | Clash.Explicit.DDR |
ddrOut# | Clash.Explicit.DDR |
decLiteralD | Clash.Promoted.Nat.TH, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
decLiteralsD | Clash.Promoted.Nat.TH, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
decodeFloat | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
decoderCase | Clash.Examples.Internal |
decoderShift | Clash.Examples.Internal |
deDup | Clash.Magic, Clash.Explicit.Prelude, Clash.Prelude |
deepErrorX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
deepseqX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
def | Clash.Explicit.Prelude, Clash.Prelude |
Default | Clash.Explicit.Prelude, Clash.Prelude |
defaultDerivator | Clash.Annotations.BitRepresentation.Deriving |
defaultSeqX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Defined | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
defSyn | Clash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
delay | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
delay# | Clash.Signal.Internal |
delayed | |
1 (Function) | Clash.Explicit.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal.Delayed, Clash.Prelude.Safe, Clash.Prelude |
delayedFold | |
1 (Function) | Clash.Explicit.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal.Delayed, Clash.Prelude.Safe, Clash.Prelude |
delayedI | |
1 (Function) | Clash.Explicit.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal.Delayed, Clash.Prelude.Safe, Clash.Prelude |
delayEn | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
delayI | |
1 (Function) | Clash.Explicit.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal.Delayed, Clash.Prelude.Safe, Clash.Prelude |
delayMaybe | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
delayN | |
1 (Function) | Clash.Explicit.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal.Delayed, Clash.Prelude.Safe, Clash.Prelude |
Derivator | Clash.Annotations.BitRepresentation.Deriving |
deriveAnnotation | Clash.Annotations.BitRepresentation.Deriving |
deriveAutoReg | Clash.Class.AutoReg.Internal, Clash.Class.AutoReg, Clash.Explicit.Prelude, Clash.Prelude |
deriveAutoRegTuples | Clash.Class.AutoReg.Internal |
deriveBitPack | Clash.Annotations.BitRepresentation.Deriving |
deriveBitPackTuples | Clash.Class.BitPack.Internal.TH |
deriveBlueSpecAnnotation | Clash.Annotations.BitRepresentation.Deriving |
deriveClocksInstances | Clash.Clocks.Deriving |
deriveDefaultAnnotation | Clash.Annotations.BitRepresentation.Deriving |
derivePackedAnnotation | Clash.Annotations.BitRepresentation.Deriving |
derivePackedMaybeAnnotation | Clash.Annotations.BitRepresentation.Deriving |
DF | Clash.Prelude.DataFlow |
df | Clash.Prelude.DataFlow |
dflipflop | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
dfold | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
dfromList | Clash.Signal.Delayed.Internal, Clash.Explicit.Signal.Delayed, Clash.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
dfromList_lazy | Clash.Signal.Delayed.Internal, Clash.Explicit.Signal.Delayed, Clash.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
display | Clash.Explicit.SimIO |
Div | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
div | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
div# | Clash.Sized.Internal.Signed |
div2BNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
div2Sub1BNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
divide | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DivideC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DivideSC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DivideUC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DivMod | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
divMod | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DivRU | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
divSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Domain | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DomainActiveEdge | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DomainConfiguration | |
1 (Type/Class) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DomainConfigurationActiveEdge | Clash.Signal.Internal |
DomainConfigurationInitBehavior | Clash.Signal.Internal |
DomainConfigurationPeriod | Clash.Signal.Internal |
DomainConfigurationResetKind | Clash.Signal.Internal |
DomainConfigurationResetPolarity | Clash.Signal.Internal |
DomainInitBehavior | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DomainPeriod | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DomainResetKind | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DomainResetPolarity | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
DomEq | Clash.Class.HasDomain.HasSpecificDomain, Clash.Class.HasDomain |
DomEqWorker | Clash.Class.HasDomain.HasSpecificDomain |
dontApplyInHDL | Clash.Annotations.BitRepresentation.Deriving |
DontTranslate | Clash.Annotations.Primitive |
dontTranslate | Clash.Annotations.Primitive |
Double | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
drConstrs | Clash.Annotations.BitRepresentation.Internal |
drop | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
dropI | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
dropWhile | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
drSize | Clash.Annotations.BitRepresentation.Internal |
drType | Clash.Annotations.BitRepresentation.Internal |
DSignal | |
1 (Type/Class) | Clash.Signal.Delayed.Internal, Clash.Explicit.Signal.Delayed, Clash.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Signal.Delayed.Internal |
dtfold | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
dualFlipFlopSynchronizer | Clash.Explicit.Synchronizer, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
dumpReplayable | Clash.Signal.Trace |
dumpVCD | Clash.Signal.Trace, Clash.Explicit.Prelude, Clash.Prelude |
dumpVCD# | Clash.Signal.Trace |
dumpVCD## | Clash.Signal.Trace |
Either | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
either | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
elem | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
elemIndex | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
empty | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
EmptyTuple | |
1 (Type/Class) | Clash.Signal.Bundle, Clash.Explicit.Signal, Clash.Signal, Clash.Signal.Delayed.Bundle, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Signal.Bundle, Clash.Explicit.Signal, Clash.Signal, Clash.Signal.Delayed.Bundle, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Enable | |
1 (Type/Class) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Signal.Internal |
enable | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
enableGen | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
encodeFloat | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
encoderCase | Clash.Examples.Internal |
ensureSpine | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Enum | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ENumFixedC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
enumFrom | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
enumFrom# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
enumFromThen | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
enumFromThen# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
enumFromThenTo | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
enumFromThenTo# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
enumFromTo | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
enumFromTo# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
ENumSFixedC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ENumUFixedC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
EQ | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Eq | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
eq# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
eq## | Clash.Sized.Internal.BitVector |
ErrOnConflict | Clash.Class.HasDomain.HasSingleDomain |
ErrOnNotFound | Clash.Class.HasDomain.HasSpecificDomain |
error | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Erroring | Clash.Num.Erroring |
ErrorMessage | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
errorWithoutStackTrace | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
errorX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
even | Clash.Class.Parity, Clash.Prelude |
eventually | Clash.Explicit.Verification, Clash.Verification |
Exp | Clash.Class.Exp, Clash.Explicit.Prelude, Clash.Prelude |
exp | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
exponent | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
expose | Clash.Hidden, Clash.Prelude.Safe, Clash.Prelude |
exposeClock | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
exposeClockResetEnable | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
exposeEnable | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
exposeReset | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
ExpResult | Clash.Class.Exp, Clash.Explicit.Prelude, Clash.Explicit.Prelude, Clash.Prelude, Clash.Prelude |
extend | Clash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ExtendingNum | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
extractPrim | Clash.Annotations.Primitive |
extractWarnings | Clash.Annotations.Primitive |
fail | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Falling | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
False | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
feedback | Clash.Signal.Delayed.Internal, Clash.Explicit.Signal.Delayed, Clash.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Field | Clash.Annotations.BitRepresentation.Util |
FieldAnn | Clash.Annotations.BitRepresentation |
FieldsType | Clash.Annotations.BitRepresentation.Deriving |
fifoDF | Clash.Prelude.DataFlow |
File | Clash.Explicit.SimIO |
FilePath | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
filter | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
findIndex | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
finish | Clash.Explicit.SimIO |
FiniteBits | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
finiteBitSize | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
firstDF | Clash.Prelude.DataFlow |
Fixed | |
1 (Type/Class) | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
flip | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fLit | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fLitR | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Float | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
floatDigits | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Floating | |
1 (Type/Class) | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
floatRadix | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
floatRange | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
FLog | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
flogBaseSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
floor | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
flush | Clash.Explicit.SimIO |
fmap | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fold | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Foldable | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
foldl | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
foldl1 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
foldMap | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
foldr | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
foldr# | Clash.Signal.Internal |
foldr1 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
forceV | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
forceVX | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
forceX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
forward | Clash.Signal.Delayed.Internal, Clash.Explicit.Signal.Delayed, Clash.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Found | |
1 (Data Constructor) | Clash.Class.HasDomain.HasSpecificDomain |
2 (Data Constructor) | Clash.Class.HasDomain.HasSingleDomain, Clash.Class.HasDomain |
FracFixedC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
FracSFixedC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fracShift | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Fractional | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
FracUFixedC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromBNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromEnable | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromEnum | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromEnum# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
fromErroring | Clash.Num.Erroring |
fromInteger | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromInteger# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
fromInteger## | Clash.Sized.Internal.BitVector |
fromIntegral | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromJustX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromLabel | Clash.Hidden, Clash.Prelude.Safe, Clash.Prelude |
fromList | |
1 (Function) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.Sized.Vector |
fromListWithReset | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
fromList_lazy | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromOverflowing | Clash.Num.Overflowing |
fromRational | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromSaturating | Clash.Num.Saturating |
fromSignal | Clash.Signal.Delayed.Internal, Clash.Explicit.Signal.Delayed, Clash.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromSNat | Clash.Sized.Internal.Index, Clash.Sized.Index, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromUNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
fromWrapping | Clash.Num.Wrapping |
fromZeroing | Clash.Num.Zeroing |
fst | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Functor | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
gather | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
GBitPack | Clash.Class.BitPack.Internal |
GCD | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
gcd | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
GConstructorCount | Clash.Class.BitPack.Internal |
GDeepErrorX | Clash.XException.Internal |
gDeepErrorX | Clash.XException.Internal |
ge# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
ge## | Clash.Sized.Internal.BitVector |
genCount | Clash.Class.Counter.TH |
genCountOverflow | Clash.Class.Counter.TH |
generate | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
generateI | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Generic | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Generic1 | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
genericShowsPrecX | Clash.XException.Internal |
GEnsureSpine | Clash.XException.Internal |
gEnsureSpine | Clash.XException.Internal |
genTupleInstance | Clash.Class.Counter.TH |
genTupleInstances | Clash.Class.Counter.TH |
getChar | Clash.Explicit.SimIO |
getConst | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
getConstrRepr | Clash.Annotations.BitRepresentation.Internal |
getContents | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
getDataRepr | Clash.Annotations.BitRepresentation.Internal |
GetDomain | |
1 (Type/Class) | Clash.Class.HasDomain.HasSpecificDomain |
2 (Type/Class) | Clash.Class.HasDomain.HasSingleDomain |
getLine | Clash.Explicit.SimIO |
getNameBinding | Clash.Annotations.TH |
getZipList | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
GFieldSize | Clash.Class.BitPack.Internal |
GHasUndefined | Clash.XException.Internal |
gHasUndefined | Clash.XException.Internal |
GNFDataX | Clash.XException.Internal |
gPackFields | Clash.Class.BitPack.Internal |
grayCounter | Clash.Examples.Internal |
grnfX | Clash.XException.Internal |
gshowsPrecX | Clash.XException.Internal |
GShowX | Clash.XException.Internal |
GT | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
gt# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
gt## | Clash.Sized.Internal.BitVector |
gUnpack | Clash.Class.BitPack.Internal |
H | Clash.Annotations.BitRepresentation.Util |
HasBiSignalDefault | Clash.Signal.BiSignal |
HasBlackBox | Clash.Annotations.Primitive |
hasBlackBox | Clash.Annotations.Primitive |
hasClock | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
HasDomain | Clash.Class.HasDomain.HasSpecificDomain, Clash.Class.HasDomain |
HasDomainWrapper | Clash.Class.HasDomain.HasSpecificDomain |
HasDomainWrapperResult | Clash.Class.HasDomain.HasSpecificDomain |
hasEnable | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
hasOverflowed | Clash.Num.Overflowing |
hasReset | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
HasSingleDomain | Clash.Class.HasDomain.HasSingleDomain |
HasSpecificDomain | Clash.Class.HasDomain.HasSpecificDomain |
hasUndefined | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
hasX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
HDL | Clash.Annotations.Primitive |
head | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
head# | Clash.Signal.Internal |
Hidden | Clash.Hidden, Clash.Prelude.Safe, Clash.Prelude |
HiddenClock | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
HiddenClockName | Clash.Signal, Clash.Prelude.Safe |
HiddenClockResetEnable | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
HiddenEnable | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
HiddenEnableName | Clash.Signal, Clash.Prelude.Safe |
HiddenReset | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
HiddenResetName | Clash.Signal, Clash.Prelude.Safe |
hideAssertion | Clash.Explicit.Verification, Clash.Verification |
hideClock | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
hideClockResetEnable | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
hideEnable | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
hideReset | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
high | Clash.Sized.Internal.BitVector, Clash.Sized.BitVector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
holdReset | |
1 (Function) | Clash.Explicit.Reset, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
hwSeqX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
hzToPeriod | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
I | Clash.Sized.Internal.Index |
id | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
idDF | Clash.Prelude.DataFlow |
iddr | Clash.Xilinx.DDR |
ifoldl | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ifoldr | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ignoreFor | |
1 (Function) | Clash.Explicit.Testbench |
2 (Function) | Clash.Prelude.Testbench |
imap | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
implies | Clash.Explicit.Verification, Clash.Verification |
Index | Clash.Sized.Internal.Index, Clash.Sized.Index, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
index# | Clash.Sized.Internal.BitVector |
indexTree | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
indices | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
indicesI | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Inf | Clash.XException.Internal |
init | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
InitBehavior | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
initBehavior | Clash.Signal.Internal.Ambiguous, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
initMem | Clash.Explicit.BlockRam.File |
InlinePrimitive | Clash.Annotations.Primitive |
InlineYamlPrimitive | Clash.Annotations.Primitive |
Int | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Integer | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
IntegerAttr | Clash.Annotations.SynthesisAttributes |
Integral | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
IntelSystem | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
interact | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
interleave | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
invertReset | Clash.Signal.Internal |
IO | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
IOError | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ioError | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
isContinuousMask | Clash.Annotations.BitRepresentation.Util |
isDenormalized | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
isEOF | Clash.Explicit.SimIO |
isFalling | |
1 (Function) | Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Safe, Clash.Prelude |
isIEEE | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
isInfinite | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
isLike | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
isLike# | Clash.Sized.Internal.BitVector |
isNaN | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
isNegativeZero | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
IsNotTemporal | Clash.Verification.Internal |
isNullary | Clash.XException.Internal |
isRising | |
1 (Function) | Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Safe, Clash.Prelude |
isSigned | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
IsTemporal | |
1 (Type/Class) | Clash.Verification.Internal |
2 (Data Constructor) | Clash.Verification.Internal |
isTemporal | Clash.Verification.Internal |
isX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
iterate | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
iterateI | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
izipWith | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
joinSignal# | Clash.Signal.Internal |
Just | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
KnownConf | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Explicit.Prelude, Clash.Prelude, Clash.Prelude |
KnownConfiguration | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
KnownDomain | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
knownDomain | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
knownDomainByName | Clash.Signal.Internal |
KnownNat | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
KnownSymbol | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
knownVDomain | Clash.Signal.Internal.Ambiguous, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
L | Clash.Annotations.BitRepresentation.Util |
last | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
lazyT | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
lazyV | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
LCM | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
lcm | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
le# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
le## | Clash.Sized.Internal.BitVector |
Left | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
length | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
lengthS | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
leToPlus | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
leToPlusKN | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
lex | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
lfsrF | Clash.Examples.Internal |
lfsrF' | Clash.Examples.Internal |
lfsrG | Clash.Examples.Internal |
lfsrGP | Clash.Examples.Internal |
Lift | Clash.Explicit.Prelude, Clash.Prelude |
lift | Clash.Explicit.Prelude, Clash.Prelude |
liftA | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
liftA2 | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
liftA3 | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
liftDF | Clash.Prelude.DataFlow |
liftQ | Clash.Annotations.BitRepresentation |
liftRnfX | Clash.XException.Internal |
liftTyped | Clash.Explicit.Prelude, Clash.Prelude |
lines | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
listToVecTH | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Lit | Clash.Annotations.BitRepresentation.Util |
lit | Clash.Explicit.Verification, Clash.Verification |
LitTy' | Clash.Annotations.BitRepresentation.Internal |
LockStep | Clash.Prelude.DataFlow |
lockStep | Clash.Prelude.DataFlow |
Log | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
log | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Log2 | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
log2BNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
logBase | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
logBaseSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
lookup | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
loopDF | Clash.Prelude.DataFlow |
loopDF_nobuf | Clash.Prelude.DataFlow |
low | Clash.Sized.Internal.BitVector, Clash.Sized.BitVector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
LR | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
lsb | Clash.Class.BitPack.BitIndex, Clash.Prelude.BitIndex, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
lsb# | Clash.Sized.Internal.BitVector |
LT | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
lt# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
lt## | Clash.Sized.Internal.BitVector |
makeTopEntity | Clash.Annotations.TH |
makeTopEntityWithName | Clash.Annotations.TH |
makeTopEntityWithName' | Clash.Annotations.TH |
many | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
map | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mapAccumL | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mapAccumR | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mapM | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mapM_ | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mappend | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mapSignal# | Clash.Signal.Internal |
maskMod | Clash.Sized.Internal.Mod |
Max | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
max | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
maxBound | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
maxBound# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
maximum | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
maxIndex# | Clash.Sized.Internal.BitVector, Clash.Sized.BitVector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
maxSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Maybe | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
maybe | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
maybeBuildTopEntity | Clash.Annotations.TH |
maybeHasX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
maybeIsX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mconcat | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mealy | |
1 (Function) | Clash.Explicit.Mealy, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Mealy, Clash.Prelude.Safe, Clash.Prelude |
mealyB | |
1 (Function) | Clash.Explicit.Mealy, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Mealy, Clash.Prelude.Safe, Clash.Prelude |
mealyDF | Clash.Prelude.DataFlow |
mealyIO | Clash.Explicit.SimIO |
medvedev | |
1 (Function) | Clash.Explicit.Moore |
2 (Function) | Clash.Prelude.Moore |
medvedevB | |
1 (Function) | Clash.Explicit.Moore |
2 (Function) | Clash.Prelude.Moore |
MemBlob | |
1 (Type/Class) | Clash.Explicit.BlockRam.Internal, Clash.Explicit.BlockRam.Blob, Clash.Explicit.ROM.Blob, Clash.Prelude.ROM.Blob, Clash.Prelude.BlockRam.Blob, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Explicit.BlockRam.Internal |
memBlobEnds | Clash.Explicit.BlockRam.Internal |
memBlobEndsLen | Clash.Explicit.BlockRam.Internal |
memBlobRuns | Clash.Explicit.BlockRam.Internal |
memBlobRunsLen | Clash.Explicit.BlockRam.Internal |
memBlobTH | Clash.Explicit.BlockRam.Blob, Clash.Explicit.ROM.Blob, Clash.Prelude.ROM.Blob, Clash.Prelude.BlockRam.Blob, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
memFile | Clash.Explicit.BlockRam.File, Clash.Explicit.ROM.File, Clash.Prelude.ROM.File, Clash.Prelude.BlockRam.File |
mempty | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Merge | |
1 (Type/Class) | Clash.Class.HasDomain.HasSpecificDomain |
2 (Type/Class) | Clash.Class.HasDomain.HasSingleDomain |
merge | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Merge' | Clash.Class.HasDomain.HasSingleDomain |
mergeBiSignalOuts | Clash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
MergeWorker | Clash.Class.HasDomain.HasSpecificDomain |
Min | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
min | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
minBound | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
minBound# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
minBoundSym# | Clash.Sized.Internal.Signed |
minimum | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
minSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
minus# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
MissingInstance | Clash.Class.HasDomain.HasSingleDomain |
mkHasDomainTuples | Clash.Class.HasDomain.CodeGen |
mkNFDataXTupleInstances | Clash.XException.TH |
mkShowXTupleInstance | Clash.XException.TH |
mkShowXTupleInstances | Clash.XException.TH |
mkTryDomainTuples | Clash.Class.HasDomain.CodeGen |
mkTup | Clash.Class.Counter.TH |
mkTupTy | Clash.Class.Counter.TH |
Mod | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mod | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mod# | Clash.Sized.Internal.Signed |
modSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Monad | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
MonadFail | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Monoid | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
moore | |
1 (Function) | Clash.Explicit.Moore, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Moore, Clash.Prelude.Safe, Clash.Prelude |
mooreB | |
1 (Function) | Clash.Explicit.Moore, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Moore, Clash.Prelude.Safe, Clash.Prelude |
mooreDF | Clash.Prelude.DataFlow |
MResult | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Explicit.Prelude, Clash.Prelude, Clash.Prelude |
msb | Clash.Class.BitPack.BitIndex, Clash.Prelude.BitIndex, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
msb# | Clash.Sized.Internal.BitVector |
mul | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mulBNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mulMod | Clash.Sized.Internal.Mod |
mulMod2 | Clash.Sized.Internal.Mod |
mulSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mulUNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
mux | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
name | Clash.Explicit.Verification, Clash.Verification |
nameHint | Clash.Magic, Clash.Explicit.Prelude, Clash.Prelude |
Nat | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
natToInteger | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
natToNatural | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
natToNum | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
natVal | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
natVal' | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
negate | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
negate# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
negateMod | Clash.Sized.Internal.Mod |
neq# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
neq## | Clash.Sized.Internal.BitVector |
never | Clash.Explicit.Verification, Clash.Verification |
next | Clash.Explicit.Verification, Clash.Verification |
nextN | Clash.Explicit.Verification, Clash.Verification |
NFDataX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
NFDataX1 | Clash.XException.Internal |
Nil | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
NoClearOnReset | Clash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude, Clash.Prelude |
noDeDup | Clash.Magic, Clash.Explicit.Prelude, Clash.Prelude |
not | |
1 (Function) | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.Explicit.Verification, Clash.Verification |
notElem | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
NotFound | |
1 (Data Constructor) | Clash.Class.HasDomain.HasSpecificDomain |
2 (Data Constructor) | Clash.Class.HasDomain.HasSingleDomain, Clash.Class.HasDomain |
NotFoundError | |
1 (Type/Class) | Clash.Class.HasDomain.HasSpecificDomain |
2 (Type/Class) | Clash.Class.HasDomain.HasSingleDomain |
Nothing | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
null | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Num | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
NumFixedC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
NumSFixedC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
NumUFixedC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
odd | Clash.Class.Parity, Clash.Prelude |
oddr | Clash.Xilinx.DDR |
One | Clash.XException.Internal |
OneHot | Clash.Annotations.BitRepresentation.Deriving |
oneHotCounter | Clash.Examples.Internal |
openFile | Clash.Explicit.SimIO |
optional | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
or | |
1 (Function) | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.Explicit.Verification, Clash.Verification |
or# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
or## | Clash.Sized.Internal.BitVector |
Ord | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Ordering | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
oscillate | |
1 (Function) | Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Safe, Clash.Prelude |
otherwise | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
outputVerifier | Clash.Explicit.Testbench |
outputVerifier' | |
1 (Function) | Clash.Explicit.Testbench, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Testbench |
outputVerifierBitVector | Clash.Explicit.Testbench |
outputVerifierBitVector' | |
1 (Function) | Clash.Explicit.Testbench |
2 (Function) | Clash.Prelude.Testbench |
outputVerifierWith | Clash.Explicit.Testbench |
Outro | |
1 (Type/Class) | Clash.Class.HasDomain.HasSpecificDomain |
2 (Type/Class) | Clash.Class.HasDomain.HasSingleDomain |
Overflowing | Clash.Num.Overflowing |
OverlapL | Clash.Annotations.BitRepresentation.Deriving |
OverlapR | Clash.Annotations.BitRepresentation.Deriving |
pack | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
pack# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
packAsNats | Clash.Explicit.BlockRam.Internal |
packBVs | Clash.Explicit.BlockRam.Internal |
packDouble# | Clash.Class.BitPack.Internal |
packedDerivator | Clash.Annotations.BitRepresentation.Deriving |
packedMaybeDerivator | Clash.Annotations.BitRepresentation.Deriving |
packFloat# | Clash.Class.BitPack.Internal |
packXWith | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
parDF | Clash.Prelude.DataFlow |
Parity | Clash.Class.Parity, Clash.Prelude |
parNDF | Clash.Prelude.DataFlow |
Period | Clash.Signal.Trace |
periodToHz | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
permute | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
pi | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
plus# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
popCount | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
popCountBV | Clash.Sized.Internal.BitVector |
popCountDefault | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
PortName | |
1 (Type/Class) | Clash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
PortProduct | Clash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
postscanl | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
postscanr | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
pow2SNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
powBNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
powSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
powUNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
pred | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
predBNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
predSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
predUNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Pref | Clash.XException.Internal |
prefixName | Clash.Magic, Clash.Explicit.Prelude, Clash.Prelude |
Primitive | |
1 (Type/Class) | Clash.Annotations.Primitive |
2 (Data Constructor) | Clash.Annotations.Primitive |
PrimitiveGuard | Clash.Annotations.Primitive |
PrimitiveWarning | Clash.Annotations.Primitive |
print | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
printX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
product | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
properFraction | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Property | |
1 (Type/Class) | Clash.Verification.Internal, Clash.Explicit.Verification, Clash.Verification |
2 (Data Constructor) | Clash.Verification.Internal |
Property' | Clash.Verification.Internal |
PSL | Clash.Verification.Internal, Clash.Explicit.Verification, Clash.Verification |
PullDown | Clash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
PullUp | Clash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
pullUpMode | Clash.Signal.BiSignal |
pure | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
pureDF | Clash.Prelude.DataFlow |
putChar | Clash.Explicit.SimIO |
putStr | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
putStrLn | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
quot | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
quot# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
quotRem | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RamNoOp | Clash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RamOp | Clash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RamRead | Clash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RamWrite | Clash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Rational | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RBranch | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Read | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
read | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
readFile | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
readFromBiSignal | Clash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
readIO | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
readList | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
readLn | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
readNew | |
1 (Function) | Clash.Explicit.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.BlockRam, Clash.Prelude.Safe, Clash.Prelude |
readParen | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
readReg | Clash.Explicit.SimIO |
ReadS | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
reads | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
readsPrec | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Real | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RealFloat | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RealFrac | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
realToFrac | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Rec | Clash.XException.Internal |
recip | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
reduceAnd | Clash.Class.BitPack.BitReduction, Clash.Prelude.BitReduction, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
reduceAnd# | Clash.Sized.Internal.BitVector |
reduceOr | Clash.Class.BitPack.BitReduction, Clash.Prelude.BitReduction, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
reduceOr# | Clash.Sized.Internal.BitVector |
reduceXor | Clash.Class.BitPack.BitReduction, Clash.Prelude.BitReduction, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
reduceXor# | Clash.Sized.Internal.BitVector |
Reg | Clash.Explicit.SimIO |
reg | Clash.Explicit.SimIO |
regEn | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
register | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
register# | Clash.Signal.Internal |
registerB | |
1 (Function) | Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Safe, Clash.Prelude |
registerPowerup# | Clash.Signal.Internal |
regMaybe | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
rem | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
rem# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
RenderAs | Clash.Verification.Internal, Clash.Explicit.Verification, Clash.Verification |
repeat | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
replace | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
replaceBit | Clash.Class.BitPack.BitIndex, Clash.Prelude.BitIndex, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
replaceBit# | Clash.Sized.Internal.BitVector |
replaceTree | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
replay | Clash.Signal.Trace |
replicate | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Reset | |
1 (Type/Class) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Signal.Internal |
resetGen | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
resetGenN | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
resetGlitchFilter | Clash.Explicit.Reset, Clash.Signal, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ResetKind | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
resetKind | Clash.Signal.Internal.Ambiguous, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ResetPolarity | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
resetPolarity | Clash.Signal.Internal.Ambiguous, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ResetStrategy | Clash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude, Clash.Prelude |
resetSynchronizer | Clash.Explicit.Reset, Clash.Signal, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Resize | Clash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
resize | Clash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
resize# | |
1 (Function) | Clash.Sized.Internal.Unsigned |
2 (Function) | Clash.Sized.Internal.Signed |
3 (Function) | Clash.Sized.Internal.Index |
resizeF | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ResizeFC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ResizeSFC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ResizeUFC | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ResolveOrErr | Clash.Class.HasDomain.HasSpecificDomain |
return | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
reverse | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
rewind | Clash.Explicit.SimIO |
Right | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
riseEvery | |
1 (Function) | Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Safe, Clash.Prelude |
Rising | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RLeaf | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RnfArgs | Clash.XException.Internal |
RnfArgs0 | Clash.XException.Internal |
RnfArgs1 | Clash.XException.Internal |
rnfX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
rom | |
1 (Function) | Clash.Explicit.ROM, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.ROM, Clash.Prelude.Safe, Clash.Prelude |
rom# | Clash.Explicit.ROM |
romBlob | |
1 (Function) | Clash.Explicit.ROM.Blob, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.ROM.Blob, Clash.Prelude.Safe, Clash.Prelude |
romBlob# | Clash.Explicit.ROM.Blob |
romBlobPow2 | |
1 (Function) | Clash.Explicit.ROM.Blob, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.ROM.Blob, Clash.Prelude.Safe, Clash.Prelude |
romFile | |
1 (Function) | Clash.Explicit.ROM.File, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.ROM.File, Clash.Prelude |
romFile# | Clash.Explicit.ROM.File |
romFilePow2 | |
1 (Function) | Clash.Explicit.ROM.File, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.ROM.File, Clash.Prelude |
romPow2 | |
1 (Function) | Clash.Explicit.ROM, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.ROM, Clash.Prelude.Safe, Clash.Prelude |
rotate | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
rotateL | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
rotateL# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
rotateLeft | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
rotateLeftS | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
rotateR | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
rotateR# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
rotateRight | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
rotateRightS | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
round | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RTree | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
runUntil | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
rwhnfX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
RxReg | |
1 (Type/Class) | Clash.Examples.Internal |
2 (Data Constructor) | Clash.Examples.Internal |
rx_busy | Clash.Examples.Internal |
rx_cnt | Clash.Examples.Internal |
rx_d1 | Clash.Examples.Internal |
rx_d2 | Clash.Examples.Internal |
rx_data | Clash.Examples.Internal |
rx_empty | Clash.Examples.Internal |
rx_frame_err | Clash.Examples.Internal |
rx_over_run | Clash.Examples.Internal |
rx_reg | Clash.Examples.Internal |
rx_sample_cnt | Clash.Examples.Internal |
S | Clash.Sized.Internal.Signed |
SActiveEdge | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SActiveHigh | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SActiveLow | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
sameDomain | Clash.Signal.Internal, Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
sameNat | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
sameSymbol | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
sample | |
1 (Function) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
sampleN | |
1 (Function) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
sampleN_lazy | |
1 (Function) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
sampleWithReset | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
sampleWithResetN | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
sample_lazy | |
1 (Function) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
SAsynchronous | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
satAdd | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SatBound | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SatError | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
satMul | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
satPred | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
satSub | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
satSucc | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SatSymmetric | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Saturating | Clash.Num.Saturating |
SaturatingNum | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SaturationMode | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SatWrap | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SatZero | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SBiSignalDefault | Clash.Signal.BiSignal |
scaleFloat | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
scanl | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
scanl1 | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
scanlPar | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
scanr | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
scanr1 | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
scanrPar | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
scatter | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SDefined | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SDomainConfiguration | |
1 (Type/Class) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
secondDF | Clash.Prelude.DataFlow |
seek | Clash.Explicit.SimIO |
select | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
selectI | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Semigroup | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
seq | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
seqDF | Clash.Prelude.DataFlow |
seqErrorX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
sequence | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
sequenceA | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
sequence_ | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
seqV | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
seqVX | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
seqX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
setBit | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
setName | Clash.Magic, Clash.Explicit.Prelude, Clash.Prelude |
setSlice | Clash.Class.BitPack.BitIndex, Clash.Prelude.BitIndex, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
setSlice# | Clash.Sized.Internal.BitVector |
sf | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SFalling | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SFixed | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SFloating | Clash.Signal.BiSignal |
shift | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
shiftInAt0 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
shiftInAtN | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
shiftL | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
shiftL# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
shiftOutFrom0 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
shiftOutFromN | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
shiftR | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
shiftR# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
Show | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
show | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showBNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showChar | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showList | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showListX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showListX__ | Clash.XException.Internal |
showParen | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ShowS | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
shows | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showsPrec | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showsPrecX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showsPrecXWith | Clash.XException.Internal, Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showString | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showsX | Clash.XException.Internal, Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ShowType | |
1 (Data Constructor) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Type/Class) | Clash.XException.Internal |
ShowX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showX | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
showXWith | Clash.XException.Internal |
shrinkSizedUnsigned | Clash.Sized.Internal.BitVector |
Signal | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
signal# | Clash.Signal.Internal |
signalAutomaton | |
1 (Function) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
Signed | Clash.Sized.Internal.Signed, Clash.Sized.Signed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
signExtend | Clash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
significand | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
signum | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SimIO | Clash.Explicit.SimIO |
simpleDerivator | Clash.Annotations.BitRepresentation.Deriving |
simulate | |
1 (Function) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
simulateB | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
simulateB_lazy | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
simulateN | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
simulateWithReset | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
simulateWithResetN | |
1 (Function) | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
simulate_lazy | |
1 (Function) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
sin | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
singleton | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
sinh | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SInitBehavior | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Size | Clash.Annotations.BitRepresentation |
size# | |
1 (Function) | Clash.Sized.Internal.BitVector, Clash.Sized.BitVector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
slice | Clash.Class.BitPack.BitIndex, Clash.Prelude.BitIndex, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
slice# | Clash.Sized.Internal.BitVector |
smap | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SNat | |
1 (Type/Class) | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SNatGT | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SNatLE | |
1 (Type/Class) | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
snatProxy | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
snatToInteger | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
snatToNatural | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
snatToNum | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
snd | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
some | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SomeNat | |
1 (Data Constructor) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Type/Class) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
someNatVal | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SomeSymbol | |
1 (Data Constructor) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Type/Class) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
someSymbolVal | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
span | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
split | Clash.Class.BitPack.BitIndex, Clash.Prelude.BitIndex, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
split# | Clash.Sized.Internal.BitVector |
splitAt | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
splitAtI | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SPullDown | Clash.Signal.BiSignal |
SPullUp | Clash.Signal.BiSignal |
sqrt | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SResetKind | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SResetPolarity | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SRising | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SSymbol | |
1 (Type/Class) | Clash.Promoted.Symbol, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Promoted.Symbol, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ssymbolProxy | Clash.Promoted.Symbol, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ssymbolToString | Clash.Promoted.Symbol, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SSynchronous | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
stencil1d | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
stencil2d | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
stepLock | Clash.Prelude.DataFlow |
stimuliGenerator | |
1 (Function) | Clash.Explicit.Testbench, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.Testbench |
String | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
StringAttr | Clash.Annotations.SynthesisAttributes |
stripZeros | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
StuckErrorMsg | Clash.Class.HasDomain.HasSingleDomain |
sub | Clash.Class.Num, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
subIfGe | Clash.Sized.Internal.Mod |
subMod | Clash.Sized.Internal.Mod |
subSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
subtract | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
subUNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
succ | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
succBNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
succSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
suffixName | Clash.Magic, Clash.Explicit.Prelude, Clash.Prelude |
suffixNameFromNat | Clash.Magic, Clash.Explicit.Prelude, Clash.Prelude |
suffixNameFromNatP | Clash.Magic, Clash.Explicit.Prelude, Clash.Prelude |
suffixNameP | Clash.Magic, Clash.Explicit.Prelude, Clash.Prelude |
sum | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SUnknown | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SVA | Clash.Verification.Internal, Clash.Explicit.Verification, Clash.Verification |
swapDF | Clash.Prelude.DataFlow |
Symbol | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
symbolVal | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
symbolVal' | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SymLitTy' | Clash.Annotations.BitRepresentation.Internal |
Synchronous | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
syncRegister# | Clash.Signal.Internal |
Synthesize | Clash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
System | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
systemClockGen | Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SystemClockResetEnable | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
systemResetGen | Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
SystemVerilog | Clash.Annotations.Primitive |
t2v | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
TaggedEmptyTuple | |
1 (Type/Class) | Clash.Signal.Bundle, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Signal.Bundle, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
3 (Type/Class) | Clash.Signal.Delayed.Bundle |
4 (Data Constructor) | Clash.Signal.Delayed.Bundle |
tail | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tail# | Clash.Signal.Internal |
take | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
takeI | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
takeWhile | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tan | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tanh | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tbClockGen | Clash.Explicit.Testbench, Clash.Prelude.Testbench |
tbEnableGen | Clash.Explicit.Testbench, Clash.Prelude.Testbench |
tbSystemClockGen | Clash.Explicit.Testbench, Clash.Prelude.Testbench |
tdfold | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tell | Clash.Explicit.SimIO |
TestBench | Clash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
testBit | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
testBitDefault | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
testFor | |
1 (Function) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
Text | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tfold | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
thead | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
thTypeToType' | Clash.Annotations.BitRepresentation.Internal |
times# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
timplies | Clash.Explicit.Verification, Clash.Verification |
timpliesOverlapping | Clash.Explicit.Verification, Clash.Verification |
tindices | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tlast | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tmap | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
toAssertionValue | Clash.Verification.Internal |
toBNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ToEM | Clash.Class.HasDomain.Common |
toEnable | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
toEnum | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
toEnum# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
toEnum## | Clash.Sized.Internal.BitVector |
toErroring | Clash.Num.Erroring |
toInteger | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
toInteger# | |
1 (Function) | Clash.Sized.Internal.Index |
2 (Function) | Clash.Sized.Internal.BitVector |
3 (Function) | Clash.Sized.Internal.Unsigned |
4 (Function) | Clash.Sized.Internal.Signed |
toIntegralSized | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
toList | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
toOverflowing | Clash.Num.Overflowing |
TopEntity | Clash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
toRational | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
toSaturating | Clash.Num.Saturating |
toSignal | Clash.Signal.Delayed.Internal, Clash.Explicit.Signal.Delayed, Clash.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
toTemporal | Clash.Verification.Internal |
toUNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
toWrapping | Clash.Num.Wrapping |
toZeroing | Clash.Num.Zeroing |
TraceMap | Clash.Signal.Trace |
traceMap# | Clash.Signal.Trace |
traceSignal | Clash.Signal.Trace, Clash.Explicit.Prelude, Clash.Prelude |
traceSignal# | Clash.Signal.Trace |
traceSignal1 | Clash.Signal.Trace, Clash.Explicit.Prelude, Clash.Prelude |
traceVecSignal | Clash.Signal.Trace, Clash.Explicit.Prelude, Clash.Prelude |
traceVecSignal# | Clash.Signal.Trace |
traceVecSignal1 | Clash.Signal.Trace, Clash.Explicit.Prelude, Clash.Prelude |
transpose | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Traversable | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
traverse | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
traverse# | |
1 (Function) | Clash.Signal.Internal |
2 (Function) | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
trepeat | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
treplicate | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
True | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
trueDualPortBlockRam | |
1 (Function) | Clash.Explicit.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude.BlockRam, Clash.Prelude.Safe, Clash.Prelude |
trueDualPortBlockRam# | Clash.Explicit.BlockRam |
truncate | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
truncateB | Clash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
truncateB# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Signed |
TryDomain | Clash.Class.HasDomain.HasSingleDomain, Clash.Class.HasDomain |
TryDomainResult | Clash.Class.HasDomain.HasSingleDomain, Clash.Class.HasDomain |
tscanl | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tscanr | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tunzip | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Tup | Clash.XException.Internal |
TxReg | |
1 (Type/Class) | Clash.Examples.Internal |
2 (Data Constructor) | Clash.Examples.Internal |
tx_cnt | Clash.Examples.Internal |
tx_empty | Clash.Examples.Internal |
tx_out | Clash.Examples.Internal |
tx_over_run | Clash.Examples.Internal |
tx_reg | Clash.Examples.Internal |
Type | Clash.Prelude |
Type' | Clash.Annotations.BitRepresentation.Internal |
TypeError | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
TypeRepBS | Clash.Signal.Trace |
tzip | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
tzipWith | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
t_inputs | Clash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
t_name | Clash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
t_output | Clash.Annotations.TopEntity, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
U | |
1 (Data Constructor) | Clash.Annotations.BitRepresentation.Util |
2 (Data Constructor) | Clash.Sized.Internal.Unsigned |
uart | Clash.Examples.Internal |
uartRX | Clash.Examples.Internal |
uartTX | Clash.Examples.Internal |
uf | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
UFixed | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
UNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unbundle | |
1 (Function) | Clash.Signal.Bundle, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.Signal.Delayed.Bundle |
Unbundled | |
1 (Type/Class) | Clash.Signal.Bundle, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Explicit.Prelude, Clash.Prelude, Clash.Prelude |
2 (Type/Class) | Clash.Signal.Delayed.Bundle |
uncheckedGetConstrRepr | Clash.Annotations.BitRepresentation.Internal |
unconcat | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unconcatBitVector# | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unconcatI | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
uncurry | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
undefError | Clash.Sized.Internal.BitVector |
undefined | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
undefined# | Clash.Sized.Internal.BitVector |
unFixed | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unfoldr | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unfoldrI | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Unknown | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Unlines | Clash.Class.HasDomain.Common |
unlines | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unpack | Clash.Class.BitPack.Internal, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unpack# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
4 (Function) | Clash.Sized.Internal.Index |
unpackDouble# | Clash.Class.BitPack.Internal |
unpackEnds | Clash.Explicit.BlockRam.Internal |
unpackFloat# | Clash.Class.BitPack.Internal |
unpackMemBlob | Clash.Explicit.BlockRam.Internal, Clash.Explicit.BlockRam.Blob, Clash.Explicit.ROM.Blob, Clash.Prelude.ROM.Blob, Clash.Prelude.BlockRam.Blob, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unpackMemBlob0 | Clash.Explicit.BlockRam.Internal |
unpackNats | Clash.Explicit.BlockRam.Internal |
unpackW64s | Clash.Explicit.BlockRam.Internal |
unsafeFromHighPolarity | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unsafeFromList | Clash.Sized.Vector |
unsafeFromLowPolarity | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unsafeFromReset | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unsafeFromSignal | Clash.Signal.Delayed.Internal, Clash.Explicit.Signal.Delayed, Clash.Signal.Delayed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unsafeMask | Clash.Sized.Internal.BitVector |
unsafeMask# | Clash.Sized.Internal.BitVector |
unsafeShiftL | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unsafeShiftR | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unsafeSimSynchronizer | Clash.Explicit.Testbench |
unsafeSNat | Clash.Promoted.Nat.Unsafe |
unsafeSynchronizer | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
unsafeToHighPolarity | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unsafeToInteger | |
1 (Function) | Clash.Sized.Internal.Signed |
2 (Function) | Clash.Sized.Internal.Index |
unsafeToInteger# | Clash.Sized.Internal.BitVector |
unsafeToLowPolarity | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unsafeToNatural | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
unsafeToReset | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unSF | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Unsigned | Clash.Sized.Internal.Unsigned, Clash.Sized.Unsigned, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unsigned16toWord16 | Clash.Sized.Internal.Unsigned |
unsigned32toWord32 | Clash.Sized.Internal.Unsigned |
unsigned8toWord8 | Clash.Sized.Internal.Unsigned |
unsignedToWord | Clash.Sized.Internal.Unsigned |
until | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unUF | Clash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unwords | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unwrapArrow | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unwrapMonad | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unzip | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unzip3 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unzip4 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unzip5 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unzip6 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
unzip7 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
upCounter | Clash.Examples.Internal |
upCounterLd | Clash.Examples.Internal |
upCounterLdT | Clash.Examples.Internal |
upDownCounter | Clash.Examples.Internal |
userError | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
USucc | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
UZero | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
v2bv | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
v2t | Clash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vActiveEdge | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Value | |
1 (Type/Class) | Clash.Annotations.BitRepresentation |
2 (Type/Class) | Clash.Signal.Trace |
VCons | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vDomain | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
VDomainConfiguration | |
1 (Type/Class) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Vec | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vecBundle# | Clash.Signal.Bundle |
Verilog | Clash.Annotations.Primitive |
veryUnsafeSynchronizer | Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude |
veryUnsafeToBiSignalIn | Clash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vfold | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
VHDL | Clash.Annotations.Primitive |
vInitBehavior | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vIntelSystem | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vName | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vPeriod | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vResetKind | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vResetPolarity | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vSystem | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
vXilinxSystem | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
waitForTraces# | Clash.Signal.Trace |
WarnAlways | Clash.Annotations.Primitive |
warnAlways | Clash.Annotations.Primitive |
WarnNonSynthesizable | Clash.Annotations.Primitive |
warnNonSynthesizable | Clash.Annotations.Primitive |
Wide | Clash.Annotations.BitRepresentation.Deriving |
Width | Clash.Signal.Trace |
window | |
1 (Function) | Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude |
windowD | |
1 (Function) | Clash.Explicit.Prelude |
2 (Function) | Clash.Prelude |
windows1d | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
windows2d | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
withClock | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
withClockResetEnable | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
withEnable | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
withReset | Clash.Signal, Clash.Prelude.Safe, Clash.Prelude |
WithSingleDomain | Clash.Class.HasDomain.HasSingleDomain, Clash.Class.HasDomain |
withSNat | Clash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
WithSpecificDomain | Clash.Class.HasDomain.HasSpecificDomain, Clash.Class.HasDomain |
Word | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
words | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
WrapArrow | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
WrapMonad | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
WrappedArrow | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
WrappedMonad | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Wrapping | |
1 (Type/Class) | Clash.Num.Wrapping |
2 (Data Constructor) | Clash.Num.Wrapping |
writeFile | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
writeReg | Clash.Explicit.SimIO |
writeToBiSignal | Clash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
XException | |
1 (Type/Class) | Clash.XException.Internal, Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Data Constructor) | Clash.XException.Internal, Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
XilinxSystem | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
xor | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
xor# | |
1 (Function) | Clash.Sized.Internal.BitVector |
2 (Function) | Clash.Sized.Internal.Unsigned |
3 (Function) | Clash.Sized.Internal.Signed |
xor## | Clash.Sized.Internal.BitVector |
xToBV | Clash.Class.BitPack.Internal |
xToError | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
xToErrorCtx | Clash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
YosysFormal | Clash.Verification.Internal, Clash.Explicit.Verification, Clash.Verification |
Zero | Clash.XException.Internal |
zeroBits | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zeroExtend | Clash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
Zeroing | Clash.Num.Zeroing |
zip | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zip3 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zip4 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zip5 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zip6 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zip7 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
ZipList | |
1 (Data Constructor) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Type/Class) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zipWith | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zipWith3 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zipWith4 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zipWith5 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zipWith6 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
zipWith7 | Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
^ | |
1 (Type/Class) | Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
2 (Function) | Clash.Class.Exp, Clash.Explicit.Prelude, Clash.Prelude |
^^ | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
_activeEdge | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
_initBehavior | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
_name | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
_period | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
_resetKind | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
_resetPolarity | Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
_rx_busy | Clash.Examples.Internal |
_rx_cnt | Clash.Examples.Internal |
_rx_d1 | Clash.Examples.Internal |
_rx_d2 | Clash.Examples.Internal |
_rx_data | Clash.Examples.Internal |
_rx_empty | Clash.Examples.Internal |
_rx_frame_err | Clash.Examples.Internal |
_rx_over_run | Clash.Examples.Internal |
_rx_reg | Clash.Examples.Internal |
_rx_sample_cnt | Clash.Examples.Internal |
_tx_cnt | Clash.Examples.Internal |
_tx_empty | Clash.Examples.Internal |
_tx_out | Clash.Examples.Internal |
_tx_over_run | Clash.Examples.Internal |
_tx_reg | Clash.Examples.Internal |
|&| | Clash.Verification.DSL |
|-> | Clash.Verification.DSL |
|=> | Clash.Verification.DSL |
|| | Clash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude |
||| | Clash.Verification.DSL |
~> | Clash.Verification.DSL |